Esercitazioni pratiche di elettronica/Logica Combinatoria/Il decodificatore da BCD a sette segmenti

Indice del libro

Il Display a 7 Segmenti

modifica

 

Il Diodo

modifica

 

Polarizzazione diretta

modifica

 

Il Diodo Led

modifica

 

Polarizzazione diretta

modifica

 

Display a catodo Comune (CK sta per Common Katode)

modifica

 

Display a anodo Comune (CA sta per Common Anode)

modifica

 

Come devono essere illuminati i segmenti

modifica

I 7 segmenti rappresentano le uscite del nostro decodificatore da BCD a 7 Segmenti. La tabella mostra quali segmenti devono essere illuminati (1 logico) per rappresentare la cifra decimale nella colonna di sinistra.

 


BCD: Binary Coded Decimal

modifica

Significa: la codifica in binario dei numeri decimali; come si vede necessitano 4 bits per ogni cifra decimale da 0 a 9. Tale codifica rappresenterà gli ingressi del nostro decodificatore da BCD a 7 Segmenti, che ci apprestiamo a studiare. Questa lezione sarà anche l'occasione per introdurre il linguaggio VHDL e comprenderne la sua potenza di rappresentazione di un hardware paragonata alla modalità di introduzione dello stesso hardware quale schema elettrico.

La seguente tabella della verità si riferisce ad un display a catodo comune. Infatti per accendere un segmento del display questi deve essere portato al valore logico 1 mentre il catodo-comune deve essere forzato verso massa (gnd) in modo da chiudere il circuito affinché nel segmento scorra la corrente sufficiente a far accendere il diodo led.


        DEC a b c d e f g
0 0 0 0 0 1 1 1 1 1 1 0
0 0 0 1 1 0 1 1 0 0 0 0
0 0 1 0 2 1 1 0 1 1 0 1
0 0 1 1 3 1 1 1 1 0 0 1
0 1 0 0 4 0 1 1 0 0 1 1
0 1 0 1 5 1 0 1 1 0 1 1
0 1 1 0 6 1 0 1 1 1 1 1
0 1 1 1 7 1 1 1 0 0 0 0
1 0 0 0 8 1 1 1 1 1 1 1
1 0 0 1 9 1 1 1 1 0 1 1

In definitiva...

modifica

Abbiamo a che fare, con un blocco di logica combinatoria con 4 ingressi e 7 uscite, si dovranno dunque sintetizzare 7 funzioni booleane da 4 ingressi ad una uscita.

Realizzazione con Multiplexer

modifica

Clicca su un'immagine per ingrandirla...

I Sette Segmenti del display pilotati da 7 logiche combinatorie da 4 a 1 e realizzate con il multiplexer. Gli ingressi di selezione costituiscono gli inputs per il codice BCD da decodificare.

Realizzazione con Porte logiche

modifica

Mappe di Karnaugh

modifica

Il decoder completo

modifica

Simulazione

modifica