Esercitazioni pratiche di elettronica/Software ISE-XILINX Free Webpack/La simulazione: differenze tra le versioni

Contenuto cancellato Contenuto aggiunto
Rdgmus (discussione | contributi)
Nessun oggetto della modifica
Rdgmus (discussione | contributi)
Nessun oggetto della modifica
Riga 14:
nel quale potete forzare gli input (in verde) al valore booleano che volete
prima di lanciare il processo di simulazione in modo da osservare il comportamento degli outputs (in giallo).
In questo modo '''ModelSim''' opera in modo nascosto e la simulazione mostra i valori attesi in uscita ma la simulazione come in questo caso che viene mostrato potrebbe non essere completamente
corretta (forse un bug del software).
 
[[Image:tbw_and2.jpg]]
 
Se invece si desidera una simulazione con tutti i crismi, nella quale i segnali si possano osservare con la finezza temporale che
giustamente è necessaria in un progetto di una certa complessità, allora si deve lanciare '''ModelSim''' dall'interno dell''''ISE'''
ottenendo i risultati che appaiono in quest'altra figura.
Decisamente più corretti.
 
*PS
A proposito di bug del software va sottolineato che se usate il software ISE Free WEBPACK e riscontrate qualche bug, potete mettervi in contatto sul sito della Xilinx con i programmatori e aiutarli a correggere l'errore che avete notato.
Riceverete sicuramente un supporto professionale e una disponibiità
senza alcun problema da parte della Xilinx che ha tutto l'interesse
a correggere i bugs che naturalmente si inseriscono in qualsisasi software.
Potreste ricevere indicazione di scaricare un certo '''Service Pack''' dove
il problema è già stato risolto o potreste essere voi i primi ad averlo notato e quindi intraprendere un proficuo dialogo con la
Xilinx che ritengo didatticamente e professionalmente molto utili
per qualsiasi studente di istituto professionale.
 
[[Image:modelsim_and2.jpg]]